数字IC设计基本概念之时钟属性

    //来源于微信公众号 "数字芯片试验室"

    应用create_clock指令建立的钟表全是ideal的,忽视了钟表互联网的延迟时间。要开展精准的时钟频率剖析,还可以叙述钟表互联网的一些关键特点(例如,latency和uncertainty)

    Latency(延迟时间)包含钟表源延迟时间(clock source latency)和钟表网络延时(clock network latency)。

    钟表源延迟时间是时钟信号从其钟表源散播到钟表界**需要的時间。

    钟表网络延时是时钟信号从设计中的钟表界**推广到存储器钟表管脚的時间。

    Clock uncertainty(钟表可变性)是存储器中时钟信号抵达時间的较大差别。Clock uncertainty设定了另外的 ** rgin来更为严苛setup和hold时钟频率查验,我们可以为同一途径上的setup和hold时钟频率查验特定不一样的uncertainty。

    设定Clock Latency

    •根据钟表互联网的散播延迟时间来测算Latency。这类方式十分精确,但只有在CTS以后应用。

    •确立可能和特定每一个钟表的延迟时间。 通常这类方式在CTS以前应用。

    设定散播延迟时间(Propagated Latency)

    EDA**工具可以在CTS以后根据散播延迟时间来全自动明确钟表互联网的延迟时间。这时造成的結果比较精准,钟表互联网中的模块和网络延时都被反标(back-annotated)。从钟表源到存储器钟表管脚的途径延迟时间会使钟表偏位(skew)。因此当设计方案具备具体的钟表树时,应当应用散播延迟时间:

    set_propagated_clock [get_clocks CLK]

    删除当前钟表的散播延迟时间特性,应用remove_propagated_clock指令。

    特定钟表源延迟时间(Clock Source Latency)

    我们可以为ideal或是propagated钟表特定钟表源延迟时间。钟表源延迟时间是以钟表源到时钟界**(端口号、管脚)的延迟时间。存储器钟表管脚的延迟时间是钟表源延迟时间(clock source latency)和钟表网络延时(clock network latency)的总数。

    针对內部转化成钟表(generated clocks),EDA**工具可以全自动测算钟表源延迟时间。

    针对prelayout设计方案,散播延迟时间通常都是精确的,由于寄生参数不清楚。针对prelayout设计方案,可以可能每一个钟表的延迟时间并应用set_clock_latency指令立即设定该预测值。

    要特定外界钟表源延迟时间的可变性,可以应用-early和-late选择项。例如,考虑到很有可能从1.5到2.5 ns转变的源延迟时间。

    要特定图中的钟表源延迟时间,可以采用下列指令:

    create_clock -period 10 [get_ports CLK]set_clock_latency 1.5 -source -early [get_clocks CLK]set_clock_latency 2.5 -source -late [get_clocks CLK]

    针对setup剖析,它应用late值。 针对hold剖析,它应用early值。

    下列实例了怎样为上升沿和降低沿设定不一样的源钟表延迟时间值。

    要将CLK的上升沿延迟设定为1.2并将降低沿延迟时间设定为0.9

    set_clock_latency -rise 1.2 [get_clocks CLK]set_clock_latency -fall 0.9 [get_clocks CLK]

    可以应用remove_clock_latency指令用以删掉特定的钟表网络延时或钟表源延迟时间。

    设定钟表可变性(Clock Uncertainty)

    在**工具中,应用set_clock_uncertainty指令特定钟表的可变性。

    可以应用Clock Uncertainty来模型pre layout设计方案的钟表可预测性。EDA**工具会依据调节钟表可变性后的时钟频率查验较烂状况,查验setup時间( ** ximum paths)的时候会从required time中减掉Clock Uncertainty值,查验hold時间(minimum paths)的时候会在required time中提升Clock Uncertainty值。假如特定单独一个Clock Uncertainty值,**工具会将其与此同时用以setup和hold查验。

    例如:

    set_clock_uncertainty 5 [get_clocks CLKA]

    大家还能够为setup和hold剖析设定不一样的钟表可变性:

    set_clock_uncertainty -setup 0.21 [get_clocks CLK1]set_clock_uncertainty -hold 0.33 [get_clocks CLK1]

    应用remove_clock_uncertainty指令扇出钟表可变性设定。

    可能钟表管脚变换時间(Transition Time)

    当并未搭建钟表树时,时钟互联网上单独一个油压缓冲器很有可能会推动10,000个存储器钟表管脚。 这也许会造成存储器的Transition Time过大,进而危害钟表网络延时,及其setup和hold時间查验。

    可以应用set_clock_transition指令可能全部钟表互联网的Transition Time。

    例如:

    set_clock_transition 0. ** -fall [get_clocks CLK1]

    应用指令的-rise或-fall选择项仅特定钟表的升高或降低沿的变换時间。

    应用remove_clock_transition指令删掉可能的钟表管脚变换時间。


    西安伟洲电子科技有限公司专注于网络同步时钟,NTP时间服务器,时间源卡,同步时钟系统,数字时钟功系统等

  • 词条

    词条说明

  • 标准化考场**数字钟

    2.1 产品功能特点: 1、网络NTP对时; 2、通过**软件配置授时服务器IP,获取标准时间,不用任何按键调节,上电可实现自动对时功能,走时精度可保持在10ms误差范围内无累积误差,可使全国电子钟走时保持一致,*外接用户卡,无后期费用; 3、24时制,**大的时分秒显示,字高:102 x 60 mm ,公历循环显示; 4、显示内容有年、月、日、星期、时、分、秒、农历和温度; 5、显示精度高、视距

  • 双机冗余高精度授时服务器

    双机冗余授时服务器产品介绍 双机冗余授时服务器采取2路授时接口,一个授时,一个监听,支持心跳监测功能,操作简单安装方便。 ◆授时接口:2路10/100M Base-TX 以太网接口,一个授时网口,一个监听网口,监听网口可实时对授时网口进行监听; ◆真空荧光显示屏(VFD)显示,显示时钟源信息,信号强度,时间和日期,数据有效性; ◆时间源:TD4G、GPS、北斗三种时间源在一路不通的情况下,可互相切

  • CDMA网络授时电子钟

    产品介绍:CDMA网络授时电子钟由西安伟洲专业提供,时钟在无手机卡的条件下,可自动获取CDMA系统时间并采用单面或双面LED数码管或点阵显示数字来指示时间信息。主要是为一定区域范围内的人群,如小型车站、小型机场、体育场馆、**部门、学校、医院、广播电视台、标准厂房、智能化楼宇、宾馆酒店及会议展览中心等需要提供精确统一时间的场所而设立的。 技术参数 ◆ 内置无卡CDMA授时模块,无后期费用,使用C网

  • 智慧导引及信息发布系统(版本V1.0)

    1) 互动查询:通过互动终端将互动性内容和创意更有效地展现给目标人群,拉近医院与病人之间的距离,传递更有价值、更丰富的互动信息,有效树立医院形象,形成深刻、新颖的医疗认知。可广泛应用于门诊科室,医院楼道,医院会议室,医院休息区等区域。2) 资讯发布:通过LCD、触摸屏等显示终端发布公告、通知等信息,同时可以发布日期、时间、天气、新闻等公共信息。遇到紧急状况,可以在所有显示终端上

联系方式 联系我时,请告知来自八方资源网!

公司名: 西安伟洲电子科技有限公司

联系人:

电 话: 029-84167296

手 机: 15389248361

微 信: 15389248361

地 址: 陕西西安长安区西安长安区子午大道169号

邮 编:

网 址: stimestime.cn.b2b168.com

八方资源网提醒您:
1、本信息由八方资源网用户发布,八方资源网不介入任何交易过程,请自行甄别其真实性及合法性;
2、跟进信息之前,请仔细核验对方资质,所有预付定金或付款至个人账户的行为,均存在诈骗风险,请提高警惕!
    联系方式

公司名: 西安伟洲电子科技有限公司

联系人:

手 机: 15389248361

电 话: 029-84167296

地 址: 陕西西安长安区西安长安区子午大道169号

邮 编:

网 址: stimestime.cn.b2b168.com

    相关企业
    商家产品系列
  • 产品推荐
  • 资讯推荐
    关于八方 | 八方币 | 招商合作 | 网站地图 | 免费注册 | 一元广告 | 友情链接 | 联系我们 | 八方业务| 汇款方式 | 商务洽谈室 | 投诉举报
    粤ICP备10089450号-8 - 经营许可证编号:粤B2-20130562 软件企业认定:深R-2013-2017 软件产品登记:深DGY-2013-3594
    著作权登记:2013SR134025
    Copyright © 2004 - 2025 b2b168.com All Rights Reserved